Thursday, May 7, 2020

Xilinx ISE Beginner's Guide

In this post, let's have an overview of the Xilinx ISE Design Suite. This should be a useful tutorial especially for beginners or for anyone who wishes to run through the tool features.


Here is the download link to download the tool:

This document can be used as a detailed user guide on how to use the Xilinx ISE Tool:
Xilinx_Lab_Manual

Here is a short summary of the design steps with additional information:

1. First, create a new project with the desired specifications for the FPGA.

2. Add a new Verilog source and type the Verilog code.

3. On the left window pane, first do Check Syntax to correct Syntax errors.

4. If the code is syntax-error free, you are eligible for behavioral simulation of the code.
For that add a new source to the project and select Verilog Test fixture. Write the testbench here.

5. Switch to Simulation View. Here, first do Behavioral Check Syntax to inspect the testbench. Once done, you can choose Simulate Behavioral Model. By default, ISE comes with the ISim simulator. If you want to change any default tool, goto Edit → Preferences → Integrated Tools.

6. Then choose Synthesize.
This will pass only if your code uses completely synthesizable Verilog constructs. It will also check if there are other errors that can cause problems at the output.

7. After synthesis, you can view your RTL Schematic. In the design summary tab, you can view the Synthesis Report.
The Synthesis Report gives a count of how many individual RTL components are used.
The utilization part tells us how much of the resources of the FPGA have been used up.

7. Now you can add timing constraints to the design if required but is not a necessity.

8. Now it is time for pin placement. Under User Constraints in the Processes window, select Floorplan Area/IO/Logic. This will open the PlanAhead pin placement tool.

9. In the PlanAhead tool, select Layout -> I/O planning to select the port locations to place the pins on the FPGA.

10. Now go back to the ISE Suite and implement design.
This will execute Translate, Map, Place & Route.

11. In the Design Summary window, you will obtain reports during each stage of the design. Verify if there are any errors or warnings.

12. After this, you can generate a Programming File which can be downloaded into the FPGA Board.


Xilinx ISE Tips and Tricks

Let us see some tips and other features in Xilinx ISE that can be utilized.

1. Hold down Alt Key and try selecting a region. With this trick, you can select just the desired columns.


2. Go to Edit → Language Templates. This will guide you with how to use the right constructs in Verilog, VHDL and also help with TCL and UCF.


3. Create a new project. When adding source, select the IP CORE option. This is a repository of pre-define IPs that can be generated according to user requirements. Here select the desired IP you wish to generate.


4. If your project is present in a different directory but do not want to modify it, choose Add Copy of Source option.

Xilinx ISE is relatively a simple tool for beginners to understand and has a clean user interface.
It's successor is the Xilinx Vivado Tool which is much more detailed.

No comments:

Post a Comment